a close up of a computer chip with the word intel core on it
09 - 09 - 2024

インテル(INTC)とTSMCの関係とは?インテルの5N4Yの進捗と20Aの製造をキャンセルした理由と合わせて徹底解説!

ウィリアム・ キーティングウィリアム・ キーティング
  • 本稿では、インテル(INTC)の5N4Yの進捗と20Aの製造をキャンセルした理由を分析することで、インテルとTSMC(TSM)の関係を詳しく解説していきます。 
  • インテルは20Aプロセスの製品化を見送り、約5億ドルのコスト削減を目指すと発表しましたが、主に18Aプロセスの成功によりリソースを移行するためです。 
  • Arrow Lakeプロセッサは主に外部ファウンドリ、特にTSMCによって製造されることとなり、パッケージングはインテルが担当します。 
  • インテルの18Aプロセスは順調に進んでいる一方で、20Aはコスト効率の観点から量産には適していないため、事実上キャンセルされました。

インテル(INTC:Intel)が20Aの製造をキャンセルした理由とは?

2024年9月4日に開催されたCiti Global Technology Conferenceで、インテル(INTC:Intel)のCFOデビッド・ジンスナー氏が「20Aの製品化を見送るつもりだ」と発言し、大きな反響を呼びました。さらに彼は、「20Aはあったらいいが、コストが高いため、この決定によって5億ドルほど節約できるだろう」と述べました。

Intelの20Aプロセスノードは、今年後半に登場予定のArrow Lakeプロセッサの製造に使用される予定でした。「それなら素晴らしい、代わりに18AプロセスでArrow Lakeを製造するんだろう」と思うかもしれません。なぜなら、先週ゲルシンガーCEOが「18Aの欠陥密度は0.4未満になった」と自信を持って発表していたからです。そして、下記が実際のコメントです。

(原文)I'm happy to update the audience that for  this process (he’s referring here to 18A) we're now below 0.4 defect density. This is now a healthy process that we're looking forward to starting production of wafers with Panther Lake before the end of the year so we're on track to deliver that.

(日本語訳)18Aプロセスについて、現在欠陥密度が0.4未満になったことをご報告できるのは嬉しいことです。これでプロセスは安定した状態となり、年末までにPanther Lakeのウェハー製造を開始する準備が整っています。順調に進んでいます。

しかし、もし、読者の皆さんがそう思われたなら、残念ながらそれは間違いです。なぜなら、インテルは全く異なる内容のプレスリリースを発表しました。詳しく見てみましょう。

Intel 18Aの継続的な進展

主力製品の設計やプロセスの準備が順調に進んでおり、当初の予定よりも早くIntel 20Aから移行できる見通しです。

(出所:インテルのプレスリリース)

インテル(INTC:Intel)のプレスリリースはここで確認できます。「18Aプロセス」についての見出しの下に、「bridge from Intel 20A earlier than we’d planned(20Aからの移行を予定より早く進める)」というサブタイトルがついています。

まず、プレスリリースの冒頭では、Intel 18Aの進捗がいかに順調かを再確認しています。

(原文)Since releasing the Intel 18A Process Design Kit (PDK) 1.0 in July, we have seen positive response across our ecosystem and are encouraged by what we’re seeing from Intel 18A in the fab. It’s powered on and booting on operating systems, healthy, and yielding well – and we remain on track for launch in 2025.

(日本語訳)7月にIntel 18Aプロセスデザインキット(PDK)1.0をリリースして以来、エコシステム全体から良い反応を得ており、Intel 18Aのファブでの成果に非常に期待を寄せています。すでに電源が入り、OSの起動も順調で、プロセスは安定し、良好な歩留まりを示しています。2025年のローンチに向けて順調に進んでいます。

そしてここからが大きなニュースです。インテルは20Aプロセスへのリソースを移す予定だったのですが、実際にはこのプロセスを事実上放棄し、他のプロセスにリソースを振り向けることにしました。

(原文)One of the benefits of our early success on Intel 18A is that it enables us to shift engineering resources from Intel 20A earlier than expected as we near completion of our five-nodes-in-four-years plan.

(日本語訳)Intel 18Aの初期成功のおかげで、予定より早く20Aプロセスからエンジニアリングリソースを移行できるようになり、4年間で5つのノードを完成させる計画が順調に進んでいます。

では、Arrow Lakeプロセッサファミリーはどこで製造されるのでしょうか?答えは外部のファウンドリで、パッケージングはインテルが担当します。

(原文)With this decision, the Arrow Lake processor family will be built primarily using external partners and packaged by Intel Foundry.

(日本語訳)この決定により、Arrow Lakeプロセッサファミリーは主に外部パートナーによって製造され、パッケージングはIntel Foundryが担当します。

ここで言う「外部ファウンドリ」は、かなりの確率でTSMC(TSM)だと思われます。私が理解している計画では、TSMCは当初からArrow Lakeの一部のタイルを担当する予定でしたが、今回の決定で、パッケージング以外のすべてのタイルを担当することになりました。ちなみに、Lunar Lakeも同じ状況で、Meteor LakeもIntelが担当するのは計算タイルと最終的なパッケージングのみです。

では、一体何が起きているのでしょうか?なぜインテルは20Aプロセスをキャンセルし、Arrow LakeをIntel 3やIntel 18Aに移行しないのでしょうか?


関連用語

Intel 18A & Intel 20A: インテルの次世代製造プロセスノードです。数字が小さいほど、より先進的なプロセスノードを指します。18Aと20Aは、インテルが開発中のオングストローム(Å)世代のプロセスノードで、トランジスタの密度と性能の向上を目指している。

Arrow Lakeプロセッサ: インテルの次世代CPUアーキテクチャで、デスクトップとノートブック向けに設計されている。14世代目のCoreシリーズとして、Meteor Lakeの後に登場予定。

Panther Lake: インテルが開発中の将来のCPUアーキテクチャの1つで、Arrow Lakeの後継に位置付けられる。

Lunar Lake: インテルが2024年以降に予定しているモバイル向けのCPUアーキテクチャで、特に省電力性能に優れた設計を目指している。

Meteor Lake: インテルの14世代目Coreプロセッサーで、異なるダイを組み合わせたモジュール型の設計を採用し、AI機能や省電力性能に重点を置いている。

Intel 3: インテルの先進的な製造プロセスノードで、Intel 7の次に位置する。トランジスタ密度が向上し、パフォーマンスと電力効率の改善が期待されている。

Intel 4:インテルが開発した先進的な半導体製造プロセス技術であり、EUV(極端紫外線)リソグラフィーを導入している。これにより、より小型で高性能なトランジスタの製造が可能となり、電力効率と性能が向上する。次世代プロセッサに使用され、AI対応PCなどに採用されている。


インテル(INTC:Intel)に何が起きているのか?5N4Yは順調に進んでいるのか?

この疑問に答えるために、まずは2021年初めにゲルシンガー氏がCEOに就任して以来、インテル(INTC:Intel)がどのようにプロセス技術のロードマップを進めてきたかを振り返りましょう。彼が就任して数ヶ月後、彼は「4年間で5つのノード(5N4Y)」戦略を打ち出しました。この大胆な目標に対して、人々は彼が無謀だと思っていると彼自身も冗談交じりに話していました。誰もがそんなことが可能だとは思わなかったでしょう。この3年間、彼はあらゆる機会に「5N4Y」を掲げ、インテルがこの目標を達成し続けていることが、TSMC(TSM)に奪われたプロセス技術のリーダーシップを取り戻すために進展している証拠だと主張してきました。

「5N4Y」とは一体何だったのでしょうか?それは、2021年から2025年の間に、7nm、Intel 4、Intel 3、Intel 20A、Intel 18Aの5つのプロセスノードを提供するという約束でした。当時の「5N4Y」に関する私たちの見解は以前のレポートで解説していますが、そのレポートにおける私達の結論は以下の通りです。

(当時の結論)

私たちの意見では、「4年間で5つのノードを提供する」という挑戦は、実際には難しくないと考えています。現実的には、2022年第4四半期からすでにインテルの18Aのサンプルが顧客に提供されているため、この目標はすでに達成されているとも言えます。おそらく、間のプロセスノード(Intel 4、Intel 3、Intel 20A)は、18Aよりもすでに成熟しているでしょう。しかし、実際に問うべき重要な問題は、「インテルは、TSMCと同じくらい迅速に大量生産に移行し、Intel 14nm+++と同等の成熟した歩留まりで5つのノードを4年以内に提供できるか?」という点です。これは、単なる「4年間で5つのノードを提供する」という目標とは全く異なるものです。インテルが私の提案するように、量産速度や歩留まりの指標で進捗を測り、2025年に向けてそれを更新し続けるなら、私はインテルの再建ストーリーを信じるでしょう。それまでは、私はまだ懐疑的です。

また、「5N4Y」が何を意味するのか詳しく見る前に、インテルが2021年7月にプロセスノード全体を改名したことを覚えておくことが重要です。その詳細はこちらにあります

この改名戦略により、インテルの最先端10nmプロセス(「スーパーフィン」と呼ばれていたもの)は7nmに改名され、インテルの7nmプロセスはIntel 4などに名称変更されました。

Intelの新しいノード名の紹介

(出所:WCCF TECH INC.)

現在に至るまでを振り返ると、インテルはIntel 7(EUV未使用)がコスト競争力のあるノードではないことを繰り返し認めています。実際のところ、最初からそうではありませんでした。

さらに、Intel 4とIntel 3は基本的に同じプロセスです。確かにIntel 3にはいくつかの改良点がありますが、両者は完全に互換性のあるプロセスです。そして、上記の図では、インテルがこの2つのノードを区別することに苦労しているのが分かります。Intel 4は「EUVをフル活用(Full use of EUV lithography)」とし、Intel 3は「EUVの使用をさらに増加(Increased EUV use)」と説明されています。しかし、どのように「フル活用」から「さらに増加」するのかと疑問に思うのは私だけでしょうか?

Intel 20AとIntel 18Aの間でも同じことが言えます。再び、同じプロセスファミリーです。この段階でインテルは、RibbonFET(ゲートオールアラウンドトランジスタのインテル版)とPowerVia(背面電源供給のインテル版)という2つの大きな設計変更を導入します。インテルに対して否定的な印象を与えたくないので付け加えますが、これらは革新的な技術であり、最先端プロセス技術の標準となる見込みです。

つまり、「4年間で5ノード(5N4Y)」ではなく、実質的には「4年間で3ノード(3N4Y)」となり、そのうち最初のノードはコスト面ではほぼ役に立たないものでした。このことが、インテルがIDM 2.0計画の一環としてTSMCに依存せざるを得なかった主な理由です。

Intel 4とIntel 3、そしてIntel 20AとIntel 18Aの違いがどうであれ、これらのプロセスノードが非常に似ていることは明白です。さらに確認したい場合は、インテルが2024年2月に発表した以下の図を参照してください。また、詳細はこちらにあります

Intelのファウンドリーのプロセス・ロードマップ

次の5年間で5ノードを超えるプロセスロードマップを牽引し、さらに拡大していく予定です。これには、新しいIntel 14Aや複数のノード進化を含み、顧客の多様なニーズに対応します。

(出所:インテルのHP)

念のために言っておくと、同じプロセステクノロジーにバリエーションがあること自体は何も問題ではありません。TSMCもよくやっていることで、これは業界標準のアプローチです。私が言いたいのは、これに問題があるわけではないということです。すぐに私のポイントをお伝えしますので、少々お待ちくださいね。


関連用語

7nm: 半導体プロセス技術の一つで、トランジスタのサイズを7ナノメートルに縮小することで、高性能化と省電力化を実現する技術。

Intel 14nm+++: インテルが開発した14ナノメートルプロセス技術の改良版であり、複数の最適化を重ねて性能や効率をさらに向上させたバージョン。

10nmプロセス: インテルの10ナノメートルプロセス技術の一つで、スーパーフィン技術を導入することで、トランジスタの性能と効率を大幅に向上させた。

スーパーフィン:インテルが10nmプロセス技術で導入したトランジスタ技術で、フィン状の構造を改良し、電流の流れを最適化することで、性能向上と省電力化を実現する技術。これにより、従来のトランジスタに比べて動作効率が大幅に改善される。

トランジスタ:電流を増幅・制御・スイッチングする半導体素子であり、電子機器やコンピュータの基本的な構成要素。電気信号のオン・オフを切り替えることで、デジタル回路の動作を実現する役割を果たす。

ゲートオールアラウンドトランジスタ (GAA): トランジスタの構造で、ゲートが全方向からチャネルを囲むことで、電流制御がより精密になり、性能向上と省電力化を実現する技術。

背面電源供給 (Backside Power Delivery): 電源供給をチップの背面から行う技術で、配線の効率化により性能を高め、信号遅延の削減を図る新技術。

IDM 2.0計画: インテルが進める戦略で、自社のチップ製造能力(IDM:統合デバイスメーカー)を強化しつつ、他社へのファウンドリーサービスも提供することで、半導体市場での競争力を強化する計画。


さて、Intel 4に注目しましょう。これは2023年9月に発表され、詳細はインテルのプレスリリースに記載されています

(出所:インテルのプレスリリース)

(日本語訳)2023年9月29日、IntelはアイルランドのレイクスリップでIntel 4テクノロジーを使用した大量生産の開始を発表しました。極端紫外線(EUV)技術により実現されたこのIntel 4の利用により、インテルは次世代の製品、特に次期Intel® Core™ Ultraプロセッサー(コードネーム:Meteor Lake)や次世代のIntel® Xeon®プロセッサーを提供できるようになります。

(日本語訳)また、インテル・アイルランドのFab 34での生産は、ヨーロッパにおけるEUVを使用した大量生産の初事例でもあり、インテルがヨーロッパで初の最先端半導体製造のバリューチェーンを構築するという目標に向けた次のステップとなります。

さて、Intel 4はアイルランドのFab 34でMeteor Lakeに使用される予定でした。問題ありません。しかし、その後、Intel 3の発表がありました。詳細は2024年6月18日付のインテルのブログ投稿に記載されています

(出所:インテルのHP)

(原文)At Intel Foundry, we are dedicated to harnessing innovative technologies to extend Moore’s Law and put greater capabilities into the hands of customers for exciting new applications. For decades, we have led the industry with transistor technologies at key inflection points, including the introduction of strained silicon in 2005, the high-k and metal gate stack in 2009, and bringing the transistor into the third dimension with the FinFET architecture in 2011. Today, we continue our legacy of pioneering major new transistor innovations that will shape future areas such as AI and supercomputers.

(日本語訳)インテル・ファウンドリーでは、革新的な技術を駆使してムーアの法則を延長し、顧客により高度な機能を提供することに取り組んでいます。これにより、新しいエキサイティングなアプリケーションが可能になります。私たちは、2005年に歪みシリコンを導入し、2009年にはHigh-kおよびメタルゲートスタックを導入、2011年にはFinFETアーキテクチャでトランジスタを3次元に進化させるなど、業界をリードしてきました。現在も、AIやスーパーコンピュータなど将来の分野を形作る新しいトランジスタ技術の革新に挑戦し続けています。

そして、ここで重要なのは、この情報がインテル・ファウンドリーからのものであることです。そして、投稿では次のことが述べられています。

(原文)The Intel 3 node brings us closer to the finish line on our 5N4Y journey and builds on our prior successes to deliver consistent execution. With the prior Intel 4 node, we introduced EUV lithography, a complex technology that impacts many different aspects of the process, from transistor front-end to the vias and metal interconnects in the back end. The Intel 4 node is used in the Intel Core Ultra processor family, which ushered in the AI PC era and has shipped more than nine million units.

(日本語訳)Intel 3ノードは、「5N4Y」プロジェクトの完了に近づいており、過去の成功を基に、一貫した成果を実現しています。Intel 4ノードでは、EUVリソグラフィーという複雑な技術が導入され、トランジスタのフロントエンドからバックエンドのビアやメタルインターコネクトまで、プロセスの多くの側面に影響を与えました。Intel 4ノードはIntel Core Ultraプロセッサファミリーに使用され、AI PCの時代を切り開き、900万台以上の出荷実績を誇ります。

(原文)As planned, the Intel 3 node reached its manufacturing readiness milestone at the end of last year. Further demonstrating Intel process technology is back on track, this node reached high-volume production at our R&D site in Oregon and is now also producing chips in high volume in our Leixlip, Ireland fab for foundry customers, including server processors in the Intel Xeon 6 platform.

(日本語訳)計画通り、Intel 3ノードは昨年末に製造準備のマイルストーンを達成しました。このノードは、インテルのプロセス技術が軌道に乗っていることをさらに示し、オレゴンのR&D拠点での量産体制に入り、現在はレイクスリップ(アイルランド)のファブでも、ファウンドリー顧客向けに大量生産が行われています。これには、Intel Xeon 6プラットフォームのサーバープロセッサも含まれます。

そして、ここで注目すべき2点があります。まず、Intel 4ノードに続くIntel 3ノードの最初の目標は、インテルが自社で使用することでしたが、Intel 3ノードはファウンドリー顧客向けにも提供される予定でした。そのため、この発表がインテル・ファウンドリーから行われたのです。

しかし、ちょっと待ってください。インテルがIntel 3をファウンドリー顧客向けに発表したことがありましたか?そうですね、ありません。インテルはIntel 18Aについて顧客向けに繰り返し話していますが、Intel 3についてはそうではありません。その理由は明らかですよね、わざわざ説明する必要もないでしょう。

2つ目のポイントは、インテルがMeteor Lakeの生産をIntel 4からIntel 3にシームレスに切り替えていることです。2024年度第2四半期決算の決算説明会でCFOのデビッド・ジンズナー氏が、予想外に粗利益率が急落した理由を説明する際、同様のプロセスノードの切り替えについて言及しています。

(原文)We made the decision to more quickly shift all of that over to Ireland. And it's a good move because it saves capital. We don't have to spend capital twice essentially. And it, you know, starts to mature the Intel 4 and 3 processes in Ireland more quickly.

(日本語訳)全てをアイルランドに迅速に移行する決断をしました。そして、これは資本節約につながり、資本を2度使わずに済みます。また、インテル4と3のプロセスがアイルランドでより早く成熟することにもつながります。

最後に、私の主要なポイントに移ります。Intel 4とIntel 3はFab 34でシームレスに共存しており、同じ装置を使用しています。Intel 3は、Intel 4を微調整したより進んだバージョンです。Intel 3があるのに、わざわざIntel 4を使い続ける意味はありません。しかし、インテルはIntel 4を停止し、リソースをIntel 3に移すとは発表していません。なぜでしょうか?それは、Intel 4が単なるマイルストーンに過ぎず、5N4Yスローガンを具現化するためのものであったことを暗に認めることになるからです。

次に、Intel 20AとIntel 18Aについてですが、状況はほぼ同じです。Intel 20AはRibbonFETと背面電源供給の概念実証であり、Intel 18Aが本当の目標です。インテルは顧客向けにIntel 18Aについて繰り返し話しており、インテルのCEOパット・ゲルシンガー氏は、こちらのインタビューにおいて「bet the company on 18A(18Aに全社を賭けた)」と有名な発言をしています。

では、なぜインテルは実質的にIntel 20Aをキャンセルし、外部パートナーに頼ることにしたのでしょうか?実際には、Intel 20Aを「キャンセル」する必要はありません。Fab 34で行ったのと同じように、Intel 20AからIntel 18Aにシームレスに移行するだけです。

Intel 20Aを正式にキャンセルする最も明白な理由は、量産に移行するコスト効果がないことがすでに分かっているからです。ゲルシンガー氏は、Intel 18Aの欠陥密度が0.4未満であることを強調していましたが、なぜIntel 20Aの欠陥密度については言及しなかったのでしょうか?また、Intel 4やIntel 3の欠陥密度についても同様です。

Intel 20Aをキャンセルすることで、Intel 18Aを量産体制に移行させる時間が稼げ、粗利益率が再び低下するような新プロセスノードの立ち上げによる問題を回避できます。

では、なぜIntel 3を使用しないのか?それもコスト効果がないからです。Meteor Lakeの立ち上げが第2四半期に粗利益率に与えた影響がその証拠です。

Intel 18Aを使用しないのはなぜか?単にまだ準備が整っていないからです。

こちらの件に関して、私の仮説を裏付ける追加のデータポイントとして、最近報じられた噂があります。それは、ブロードコム(AVGO)がIntel 18Aでのテスト結果に満足していないというものです。これはロイターが報じたもの(あるいは噂?)です

(日本語訳)9月4日(ロイター) - インテル(INTC.O)の受託製造事業が、ブロードコム(AVGO.O)とのテストに失敗したことで後退を余儀なくされたと、この件に詳しい3人の関係者がロイターに語りました。この結果、インテルの再建計画にも打撃が及ぶ可能性があります。

(日本語訳)ブロードコムが行ったテストでは、チップが印刷される直径30センチのシリコンウェハーを、インテルの最先端製造プロセス「18A」を通じて処理しました。ブロードコムは先月、インテルからそのウェハーを受け取り、エンジニアと経営陣が結果を分析したところ、この製造プロセスはまだ量産に適していないと結論付けました。


関連用語

Intel® Xeon®プロセッサー: インテルが提供するサーバーやワークステーション向けの高性能プロセッサで、データセンターやビジネス向けの計算処理に最適化されている。

Fab34: インテルがアイルランドに設置した半導体製造工場で、最先端のプロセス技術を用いたプロセッサの製造が行われている。

歪みシリコン: トランジスタの性能を向上させるためにシリコンに機械的な歪みを加え、電子の移動速度を速める技術。

High-k: トランジスタのゲート絶縁体に使われる材料で、従来のシリコン酸化膜よりも高い誘電率を持ち、漏れ電流を抑えながら性能を向上させる。

メタルゲートスタック: High-k材料と一緒に使われる金属製のゲート構造で、トランジスタのスイッチング性能を向上させる技術。

FinFETアーキテクチャ: トランジスタの3次元構造で、従来の平面型トランジスタに比べて電力効率と性能を大幅に向上させる技術。

Intel Core Ultraプロセッサファミリー: インテルの高性能PC向けプロセッサファミリーで、AI機能や最新の技術を搭載した次世代製品。

Intel Xeon 6: サーバーやデータセンター向けの高性能Intel Xeonプロセッサの第6世代シリーズで、大規模なデータ処理やクラウドコンピューティングに最適化されている。


インテル(INTC:Intel)に対する結論

Intel 20Aを事実上キャンセルするという決定について、CFOのデビッド・ジンズナー氏は、これにより約5億ドルのコスト削減が可能だと述べていますが、この主張には信憑性が欠けています。Intel 20Aの開発費用はすでに費やされており、その主な目的はIntel 18Aの先駆けとなるプロセスであったはずです。Intel 4とIntel 3の場合と同様に、Intel 20AもシームレスにIntel 18Aへ統合できたはずです。Intel 20Aをキャンセルすることで、インテル(INTC:Intel)は今年後半にこのプロセスで予定していたArrow Lakeの生産拡大という重要なマイルストーンを、自らのロードマップから取り除いてしまったことになります。同様に、Arrow Lakeの生産をIntel 3やIntel 18Aに移さないという判断も、現時点ではどちらのプロセスも量産には適していないことを明確に示しています。

実際に、インテルのTSMC(TSM)への依存はますます強まり、その依存から脱却する道はますます険しくなっています。果たして彼らはこの課題を乗り越えられるのでしょうか?今後の展開を見守りましょう。


アナリスト紹介

ウィリアム・キーティング氏は、インテル、AMD、サムスン、アップル、マイクロン・テクノロジー等の企業の製品、ロードマップ、技術、および、それらの企業の主要な装置サプライヤーである、ASMLAMAT、キヤノン、ニコン等を専門とする半導体 / テクノロジー・リサーチ・コンサルティング会社、Ingenuity (Hong Kong) Ltd.の創立者兼最高経営責任者(CEO)です。

キーティング氏は、半導体業界において重要性の高いニッチなテーマを専門としています。具体的には、ムーアの法則の将来性、特にムーアの法則(EUVSDANanoImprint)を維持するためのリソグラフィの重要性、音声、画像、パターン認識、暗号通貨マイニングのためのディープラーニング(ニューラルネットワーク)などの特殊なアプリケーションにおけるGPUやその他のカスタムアーキテクチャの役割と成長などが挙げられます。また、メモリの将来、特に3D NANDの台頭と新しいメモリ技術の出現について、定期的にクライアントとのディスカッションを開催しています。

Ingenuity (Hong Kong) Ltd.を設立する以前は、1992年から2014年までインテル・コーポレーションに勤務していました。当初はAIシステムのスペシャリストとして採用され、その後、同社の最先端の300mmファクトリーネットワークをグローバルにサポートするファクトリーオートメーションシステム(ロボット、データベース、ネットワーク、サーバー、クライアント等)の責任者となりました。2000年には、社内にITコンサルティング・グループ(IT Flex Services)を設立し、500人規模のグローバルチームに成長させ、同グループは現在もインテルのIT部門の中核を担っています。2005年には、APAC、中国、日本担当のIT部門のディレクターに任命され、同地域にあるインテルの全オフィスと製造施設のITシステム(インフラ、ネットワーク、データセンター、ERP、セールス、マーケティングシステム、ビジネスインテリジェンスなど)を統括していました。

また、私のその他の半導体関連銘柄のレポートに関心がございましたら、是非、こちらのリンクより、私のプロフィールページにアクセスしていただければと思います。


その他の半導体・テクノロジー銘柄関連レポート

1. エヌビディア(NVDA)株価急騰で時価総額3兆ドル突破:AIデータセンター市場での優位性とインテルから学ぶ今後の成長戦略

2. アドバンスト・マイクロ・デバイセズ(AMD)最新の2024年2Q決算は好調で時間外で株価急騰!今後の株価見通しに迫る!

3. クアルコム(QCOM)がインテルとAMDを抜き、40TOPS以上のNPU搭載のマイクロソフトCoPilot+ PCを開発

また、私のその他の半導体関連銘柄のレポートに関心がございましたら、是非、こちらのリンクより、私のプロフィールページにアクセスしていただければと思います。

さらに、その他のインテル(INTC)に関するレポートに関心がございましたら、是非、こちらのリンクより、インテルのページにアクセスしていただければと思います。

インベストリンゴでは、弊社のアナリストが、高配当関連銘柄からAIや半導体関連のテクノロジー銘柄まで、米国株個別企業に関する動向を日々日本語でアップデートしております。そして、インベストリンゴのレポート上でカバーされている米国、及び、外国企業数は250銘柄以上となっております。米国株式市場に関心のある方は、是非、弊社プラットフォームよりレポートをご覧いただければと思います。

弊社がカバーしている企業・銘柄の一覧ページはこちら

※インベストリンゴ上のいかなるレポートは、投資や税務、法律のアドバイスを提供するものではなく、情報提供を目的としています。本資料の内容について、当社は一切の責任を負いませんので、あらかじめご了承ください。具体的な投資や税務、法律に関するご相談は、専門のアドバイザーにお問い合わせください。